From: phk Date: Mon, 21 Aug 2006 09:49:02 +0000 (+0000) Subject: This assert is not warranted, a connection might disappear before X-Git-Url: https://err.no/cgi-bin/gitweb.cgi?a=commitdiff_plain;h=0ab439a8adba0faf099beaa75ef006f1e248ecdd;p=varnish This assert is not warranted, a connection might disappear before we get to it. git-svn-id: svn+ssh://projects.linpro.no/svn/varnish/trunk@859 d4fa192b-c00b-0410-8231-f00ffab90ce4 --- diff --git a/varnish-cache/bin/varnishd/cache_acceptor.c b/varnish-cache/bin/varnishd/cache_acceptor.c index 525a8aa2..625e41b3 100644 --- a/varnish-cache/bin/varnishd/cache_acceptor.c +++ b/varnish-cache/bin/varnishd/cache_acceptor.c @@ -425,7 +425,6 @@ vca_kev(struct kevent *kp) struct sess *sp; if (kp->udata == vca_accept_sess) { - assert(kp->data > 0); while (kp->data-- > 0) { sp = vca_accept_sess(kp->ident); if (sp == NULL)