X-Git-Url: https://err.no/cgi-bin/gitweb.cgi?a=blobdiff_plain;f=include%2Fasm-v850%2Fsignal.h;h=cb52caa69925b4ae669675c605456df4bfd02a3a;hb=de745fb27983770ebfdeaa70f8a36f791fb33786;hp=ec3566c875d9ed21f903736cd179495040225940;hpb=325a479c4c110db278ef3361460a48c4093252cc;p=linux-2.6 diff --git a/include/asm-v850/signal.h b/include/asm-v850/signal.h index ec3566c875..cb52caa699 100644 --- a/include/asm-v850/signal.h +++ b/include/asm-v850/signal.h @@ -110,17 +110,7 @@ typedef unsigned long sigset_t; #define MINSIGSTKSZ 2048 #define SIGSTKSZ 8192 -#define SIG_BLOCK 0 /* for blocking signals */ -#define SIG_UNBLOCK 1 /* for unblocking signals */ -#define SIG_SETMASK 2 /* for setting the signal mask */ - -/* Type of a signal handler. */ -typedef void (*__sighandler_t)(int); - -#define SIG_DFL ((__sighandler_t)0) /* default signal handling */ -#define SIG_IGN ((__sighandler_t)1) /* ignore signal */ -#define SIG_ERR ((__sighandler_t)-1) /* error return from signal */ - +#include #ifdef __KERNEL__